TSMC quiere demostrar a Intel quién es el rey de los procesadores: chip de 1,6 nm en 2026

Un ataque directo a Intel, en forma, momento y manera. El día en que el gigante santaclareño presentó su informe trimestral, y de momento el mercado de valores no premia el resultado, TSMC se presentó en el Simposio de Tecnología en Santa Clara y anunció que su procesador de 1,6 nm estará listo en 2026lo que en la jerga se llama 16A, donde la A significa Ångström, una unidad de medida más pequeña que el nanómetro (10A equivale a 1 nm).

Intel fue el primero en anunciar la llegada al mercado de procesadores con densidad de nodos inferior a 2 nanómetros: los primeros productos de 20A (2 nm) deberían llegar a finales de año y la entrega del primer procesador de 18A, sin embargo, TSMC apareció en Santa Clara anunciando que ya está listo para bajar aún más de tamaño.

No sólo eso: también está preparada para implementar una tecnología energética similar a la que Intel anunció en los últimos años y que supuestamente representaría la verdadera ventaja sobre sus competidores, es decir, PowerVia. PowerVia es la solución de Intel que implica desacoplar la potencia de la señal en los chips haciendo que la potencia viaje en la parte inferior o trasera: esto permite a los diseñadores reducir el tamaño de los transistores, reduciendo el hacinamiento que conduce a alargar la traza de la señal. TSMC ha confirmado que su solución Super Power Rail permite suministrar energía desde abajo dejando solo señal y reloj en el frontend.

No se dan demasiados detalles, sólo sabemos que los procesadores 16A ofrecerán alrededor de un 10% más de rendimiento que el nodo N2P de TSMC y que con el mismo rendimiento serán un 15/20% más eficientes en términos de consumo. Mover la fuente de alimentación al lado inferior también permitirá un aumento del 10% en la densidad del transistor.

Hoy TSMC produce procesadores de 3 nm especialmente para Apple, pero se trata de una especie de transición suave: el tamaño del nodo ha disminuido, pero los transistores utilizados siguen siendo los FinFets que se utilizaron para los chips de 5 nm y 7 nm.

El verdadero salto se dará a principios del próximo año cuando llegue el nodo de 2 nm con transistores GAA, Gate All Around, y precisamente en relación a este nodo y al anuncio de los chips de 16A podremos entender cuánto ahora también en el mundo. En el caso de los semiconductores hay una buena dosis de marketing y comunicación.

83ba0689b3.jpg

Cuando TSMC reveló su hoja de ruta, explicó que comenzaría con 2 nm GAA pero luego, en una iteración posterior, llevaría la potencia trasera a 2 nm con el nodo N2E siguiendo a N2P y N2X. Simplemente haz 1+1 para entender que lo que alguna vez se llamó “N2E” es el que ahora ha pasado a llamarse 16A, nombre que casualmente choca con la nomenclatura elegida por Intel.

En definitiva, lo que TSMC anunció no parece ser un nuevo nodo sino algo que ya estaba en la hoja de ruta, renombrado para endurecer la competencia con la compañía que parece poder convertirse en su mayor rival en el futuro.

Intel ha invertido mucho en la producción de procesadores, y en algunos aspectos está incluso por delante de TSMC: para PowerVia, de hecho, no tendremos que esperar demasiado, ya estará presente en los procesadores producidos a finales de este año, pero sobre todo Intel es el único que ha comprado un aparato de litografía con alto contenido de NA a AMSL y recientemente terminó de ensamblarlo en la fundición de Hillsboro.lo que permitirá producir los primeros chips 14A a finales de 2026.

Actualmente, TSMC seguirá con la tecnología EUV: el equipo más avanzado de AMSL es demasiado caro de implementar y puede satisfacer a los clientes con las máquinas que ya tiene.

NEXT Primero de Mayo arruinado por mal tiempo, lluvia, granizo y pronóstico de viento; Previsiones hasta el viernes