Foundry Node Intel 3, potencia y eficiencia

Walid Hafez, vicepresidente de desarrollo de tecnología de fundición de Intelnos habla de tecnologías de integración y del innovador Foundry Node Intel 3.

Intel Foundry se dedica a desarrollar tecnologías innovadoras para ampliar la ley de moore y proporcionar a los usuarios mayores capacidades para nuevas e interesantes aplicaciones. Durante décadas, hemos liderado la industria de los transistores con innovaciones tecnológicas como la introducción del silicio deformado en 2005, la pila de compuertas de alta K y la pila de compuertas metálicas en 2009, y hemos llevado los transistores a la tercera dimensión con la FinFET en 2011. Hoy continuamos en nuestra vocación de pioneros de nuevas e importantes innovaciones, marcando el progreso en áreas como la inteligencia artificial y las supercomputadoras.

El Intel Process Node 3 ofrece hasta un 18 % más de rendimiento con la misma potencia utilizada para un núcleo de procesador completo, un conjunto flexible de opciones de interconexión metálica y hasta un 10 % más de densidad que el Intel Node 4 anterior (1). Esto representa un avance en el rendimiento de toda una generación (un logro notable teniendo en cuenta que se logró en sólo un año) logrado mediante optimizaciones cuidadosas en casi todos los aspectos del proceso, desde el transistor hasta el pila metálica. En particular, la ganancia de densidad proviene del desarrollo de una nueva serie de bibliotecas celulares estándar de alta densidad.

Nodo de fundición Intel 3

En 2021, Intel ha decidido recuperar el liderazgo en tecnología de procesos y ha trazado el camino de los Cinco Nodos en Cuatro Años (5N4Y) fijándose una serie de objetivos ambiciosos. Allá Hoja de ruta 5N4Y se centra en recuperar el liderazgo técnico y demostrar una ejecución consistente a través de una toma de riesgos cuidadosa y mesurada. También se trata de hacer avanzar toda la industria, transformando la empresa para ofrecer lo mejor de nuestras capacidades de diseño, embalaje y fabricación.

inteligencia

Intel Node 3 nos acerca a la línea de meta de la hoja de ruta 5N4Y y se basa en nuestros éxitos anteriores. Con el Intel Node 4 anterior, introdujimos la litografía EUV, una tecnología compleja que afecta muchos aspectos diferentes del proceso, desde la parte frontal de los transistores hasta los VIA y las interconexiones metálicas en la parte posterior. El Intel Node 4 se utiliza en la familia de procesadores Intel Core Ultra, que marcó el comienzo de la era de las PC con IA y ya se han enviado más de nueve millones de unidades.

Según el plan, Intel Node 3 fue declarado listo para entrar en producción a fines del año pasado. Para demostrar aún más que la tecnología de procesos Intel ha vuelto a la excelencia, este nodo se encuentra en producción en masa en nuestro sitio de I+D en Oregón y los chips ahora también se encuentran en producción en masa en nuestra fábrica en leixlipen Irlanda, incluidos los procesadores de servidores en la plataforma Intel Xeon 6, para clientes de fundición.

Intel Process Node 3 incluye cuatro variantes diseñadas y desarrolladas de forma incremental para reducir el riesgo y permitir una ejecución consistente. Estas variaciones incluyen:

• El nodo de proceso Intel 3-T, que comienza desde el proceso básico y entrega a través de silicio (TSV) para aplicaciones de apilamiento 3D, como procesamiento de imágenes, computación de alto rendimiento e inteligencia artificial, donde es necesario integrar múltiples componentes de computación y memoria en un solo paquete.

• El nodo Intel 3-E agrega un rico conjunto de E/S para interfaces externas, capacidades de señal analógica y mixta, ampliando aún más la familia.

inteligencia

• El nodo Intel 3-PT que combina todos estos avances en un solo proceso y mejora aún más el rendimiento al mismo tiempo que ofrece una facilidad de uso superior a través del soporte para TSV de 9UM de paso más fino y vinculación híbrido para uno apilado 3D de densidad aún mayor. Creemos que el nodo Intel 3-PT ofrece una combinación única de rendimiento, flexibilidad y costo para una amplia variedad de aplicaciones. Ser el nodo de proceso más avanzado basado FinFETserá un pilar y se utilizará junto con nuevas tecnologías durante muchos años, tanto para los clientes de fundición internos como externos.

Intel Node 3 es también el primer nodo de proceso avanzado de Intel Foundry diseñado para ofrecer durabilidad duradera a los clientes de fundición, con una progresión continua de características tecnológicas y mejoras de rendimiento diseñadas para servir a una amplia gama de aplicaciones y productos de diseño.

En resumen, la tecnología Intel 3 representa la familia más avanzada de nodos de proceso FinFET, ofreciendo un salto generacional en rendimiento y una densidad un 10% mejor que el nodo Intel 4. Intel 3 entró en producción en el cuarto trimestre de 2023 y ahora está en producción en masa. la familia de procesadores Intel Xeon 6 Estamos cumpliendo nuestra promesa de ejecución consistente con nuestro plan 5N4Y y allanando el camino para la transición a RibbonFET y la era Angstrom con los nodos de proceso Intel 20A e Intel 18A que se presentarán el próximo año.

PREV Fútbol sala amateur – Opes Italia. Carrocería Alba Fashion, campeona de Italia
NEXT Hoy tormentas y cielos soleados, martes 2 parcialmente nublado, miércoles 3 tormentas y cielos despejados » ILMETEO.it